CPU Panther Lake Intel Berjaya Dihidupkan pada Nod 18A Termaju, Menandakan Kemajuan

BigGo Editorial Team
CPU Panther Lake Intel Berjaya Dihidupkan pada Nod 18A Termaju, Menandakan Kemajuan

Intel telah mencapai satu tonggak penting dalam pelan tindakannya untuk mendapatkan semula kepimpinan pembuatan semikonduktor, dengan CEO Pat Gelsinger mengumumkan bahawa pemproses Panther Lake generasi seterusnya syarikat itu telah berjaya menghidupkan sistem operasi pada nod proses 18A yang termaju.

Pemproses Panther Lake Intel berjaya dihidupkan pada nod proses termaju 18A
Pemproses Panther Lake Intel berjaya dihidupkan pada nod proses termaju 18A

Perkembangan Utama

  • Pemproses pelanggan Panther Lake dan cip pusat data Clearwater Forest telah dihidupkan dan memulakan sistem operasi
  • Kedua-duanya mencapai ini kurang daripada dua suku tahun selepas tape out, mengekalkan jadual untuk pengeluaran 2025
  • Nod 18A melaksanakan seni bina RibbonFET gate-all-around dan penghantaran kuasa belakang PowerVia
  • Intel mendakwa kombinasi ini adalah yang pertama dalam industri untuk tawaran foundry

Kemajuan Teknikal

Nod proses 18A, yang diklasifikasikan sebagai teknologi kelas 1.8nm, membawa dua inovasi kritikal:

  1. RibbonFET: Seni bina transistor gate-all-around yang meningkatkan prestasi
  2. PowerVia: Sistem penghantaran kuasa belakang yang sangat bermanfaat untuk cip pusat data yang memerlukan kuasa tinggi

Intel mencadangkan teknologi ini boleh membolehkan 18A berpotensi mengatasi prestasi nod 3nm dan 2nm TSMC yang akan datang dalam beberapa beban kerja tertentu, walaupun ujian dunia sebenar diperlukan untuk mengesahkan dakwaan tersebut.

Aspirasi Foundry

Nod 18A adalah penting untuk aspirasi foundry Intel. Syarikat itu telah mengeluarkan versi 1.0 kit reka bentuk proses (PDK) kepada rakan kongsi pada bulan Julai, membolehkan pembangunan cip pihak ketiga. Intel melaporkan minat yang tinggi, dengan pelanggan foundry luar sudah mereka bentuk pada nod tersebut.

Kevin O'Buckley, SVP dan GM Perkhidmatan Foundry Intel, menyatakan: "Kami mempelopori pelbagai teknologi foundry sistem untuk era AI dan menyampaikan tumpukan penuh inovasi yang penting untuk generasi produk seterusnya untuk Intel dan pelanggan foundry kami."

Pandangan

Walaupun perkembangan ini menjanjikan, Intel masih menghadapi cabaran besar dalam usahanya untuk mengejar dan mengatasi pemimpin foundry TSMC. Kejayaan Panther Lake dan nod 18A akan menjadi kritikal dalam menentukan sama ada Intel dapat memenuhi pelan tindakan ambisius dan menegakkan semula dirinya sebagai pemimpin pembuatan semikonduktor.

Sementara industri teknologi memerhati dengan teliti, Intel berhasrat untuk memulakan pengeluaran cip berasaskan 18A pada tahun 2025, menandakan titik perubahan yang berpotensi dalam landskap semikonduktor global.